您的位置: turnitin查重官网> 工程 >> 电子通信工程 >阐述IPUSB接口软IPCORE代码设计与验证期刊

阐述IPUSB接口软IPCORE代码设计与验证期刊

收藏本文 2024-04-14 点赞:4727 浏览:12739 作者:网友投稿原创标记本站原创

摘要:USB是英文(Universal Serial Bus)的缩写,中文就是通用串行总线。USB是Intel联合(Microsoft,IBM,康柏,NEC等)七家公司共同推出的总线标准,这是一种速度快、成本低、易于扩展的总线标准,同时也是目前电子产品中运用最为广泛的接口协议之一。支持设备即插即用和热插拔功能的USB总线标准的出现,对于信息产业和计算机的进展具有重大作用。鉴于USB广泛的运用及迅猛的进展和经济效益,本论文设计了一个USB设备接口IP核,讨论了设计思想和策略。本论文主要讨论了USB设备接口IP核的设计。根据复杂数字逻辑电路和系统设计思想,为了降低设计复杂度,经过深入探讨USB协议后,决定在设计中采取自顶向下(Top-Down)的设计策略;同时该IP核利用VerilogHDL编写代码,在设计中我们把时序逻辑电路和组合逻辑电路分开设计,这样能够使得设计思路更清晰同时也让总体结构便于理解;为了使设计更易于综合,代码编写也必须遵循可综合风格并且注重跨时钟域的不足。论文主要包括以下几个方面:1)首先学习和浅析USB协议,浅析协议标准和数据传输方式,根据学习到的协议内容和浅析结果提出基于FPGA的USB设备接口IP核的总体设计案例,然后划分各功能模块,划分成五个模块:UTMI、制约器、物理层、FIFO、存储器接口和协议层。设计案例中最关键的三个模块是物理层模块、制约器模块和协议层模块。2)用Verilog编写RTL级代码,完成各功能模块的详细设计。物理层模块主要完成采样异步数据流以及分离时钟和数据,模拟差分信号和数字信号的转换;制约器模块完成USB设备的数据传输和枚举;协议层模块功能比较复杂,为了实现复杂的USB协议,利用了有限状态机的设计策略,协议层主要完成数据的打包和解包等。3)用ModelSim SE和QuartusⅡ软件对USB设备接口IP核进行综合仿真,对设备接口IP在FPGA硬件平台上进行了验证。在验证历程中,利用USB HOUND软件截取USB总线上的通信数据,然后对截取数据浅析来验证USB主机和设备接口的数据通信是否成功。验证结果表明,该设计的USB设备接口IP核是符合USB协议规范要求的,能很好的实现USB数据通信的功能;如果想作为一个单独的IP模块嵌入到SoC系统设计中,还需要经过更深层次和更专业的优化,这里我们只讨论了功能的实现。关键词:USB论文IP核论文SoC论文Verilog论文

    摘要5-7

    ABSTRACT7-11

    第一章 绪论11-15

    1.1 课题背景11-13

    1.1.1 USB 协议进展情况11-12

    1.1.2 IP 核介绍12-13

    1.2 课题的作用13

    1.3 论文的内容和章节安排13-15

    第二章 USB 协议浅析15-29

    2.1 USB 系统结构15-18

    2.1.1 USB 协议拓扑结构15-16

    2.1.2 USB 系统的层次结构16-17

    2.1.3 端点和管道17-18

    2.2 USB 接口的物理和电气特性18-21

    2.2.1 物理接口18-19

    2.2.2 NRZI 编/解码和位填充19-21

    2.3 USB 数据传输21-25

    2.3.1 同步传输21-22

    2.3.2 制约传输22-23

    2.3.3 批量传输23-24

    2.3.4 中断传输24-25

    2.4 USB 协议标准25-28

    2.4.1 地址字段25-26

    2.4.2 信息包类型和结构26

    2.4.3 帧号字段26

    2.4.4 端口号字段26-27

    2.4.5 同步字段27

    2.4.6 包标识符字段27

    2.4.7 数据字段27

    2.4.8 循环冗余校验27-28

    2.5 小结28-29

    第三章 USB 设备接口设计29-62

    3.1 USB 接口系统级设计29-30

    3.2 物理层的设计30-36

    3.2.1 接收模块33-35

    3.2.2 发送模块35-36

    3.3 协议层的设计36-51

    3.3.1 包拆分模块(Packet Disassembler)36-40

    3.3.2 包组装模块(Packet Assembler)40-43

    3.3.3 协议引擎模块(ProtocolEngine)43-47

    3.3.4 CRC 校验模块47-49

    3.3.5 IDMA 模块49-51

    3.4 FIFO 模块51-52

    3.5 UTMI 模块52-54

    3.6 存储器与存储器接口54-56

    3.7 制约器模块56-61

    3.7.1 制约器功能57-59

    3.7.2 专用制约器设计59-61

    3.8 小结61-62

    第四章 USB 接口 IP 核的仿真与验证62-70

    4.1 系统行为仿真62-65

    4.1.1 建立 Testbench 测试平台62-63

    4.1.2 IN 端点测试63-64

    4.1.3 OUT 端点测试64

    4.1.4 制约端点的测试64-65

    4.2 FPGA 硬件平台验证65-69

    4.2.1 FPGA 验证实现65-68

    4.2.2 验证流程68-69

    4.3 小结69-70

    第五章 总结与展望70-71

    致谢71-72

copyright 2003-2024 Copyright©2020 Powered by 网络信息技术有限公司 备案号: 粤2017400971号